Vítejte na Elektro Bastlírn?
Nuke - Elektro Bastlirna
  Vytvořit účet Hlavní · Fórum · DDump · Profil · Zprávy · Hledat na fóru · Příspěvky na provoz EB

Vlákno na téma KORONAVIRUS - nutná registrace


Nuke - Elektro Bastlirna: Diskuzní fórum

 FAQFAQ   HledatHledat   Uživatelské skupinyUživatelské skupiny   ProfilProfil   Soukromé zprávySoukromé zprávy   PřihlášeníPřihlášení 

Lattice PLD

 
Přidat nové téma   Zaslat odpověď       Obsah fóra Diskuzní fórum Elektro Bastlírny -> Programování PIC, ATMEL, EEPROM a dalších obvodů
Zobrazit předchozí téma :: Zobrazit následující téma  
Autor Zpráva
forbidden



Založen: Feb 14, 2005
Příspěvky: 9004
Bydliště: Brno (JN89GF)

PříspěvekZaslal: st leden 23 2013, 21:42    Předmět: Lattice PLD Citovat

Mám tu jednu starou tel. ústřednu na součástky. Vytáhl jsem z ní Lattice ispLSI 2032 a 2064. Stáhnul jsem si k nim datasheet, abych věděl, co to vlastně je. Akorát jsem to plně nepochopil. Mám tomu rozumět tak, že je to v podstatě "hradlo" s mnoha vstupy/výstupy, do kterýho si prostě naprogramuju libovolnou log. funkci? Existuje k tomu nějaký prostředí, kde si to nadefinuju? Nebo jak se s tím vlastně dělá? Neplánuju, že bych to někdy použil, jen mě to zajímá, ať si rozšířím obzory. Very Happy
Díky.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Habesan



Založen: Jan 12, 2009
Příspěvky: 7105
Bydliště: Plzeňsko

PříspěvekZaslal: čt leden 24 2013, 11:26    Předmět: Citovat

Jsou již v tvých obzorech pojmy PAL, GAL, CPLD a FPGA ???

Pokud s tím hodláš začít, nebo si to alespoň vyzkoušet, doporučuji začít od produktů firem Altera a Xilinx (např.: XC9536).

_________________
Sháním hasičák s CO2 "sněhový", raději funkční.
(Nemusí mít platnou revizi.)
(Celkově budu raději, když se to obejde bez papírů.)
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Panda38



Založen: Nov 21, 2012
Příspěvky: 717
Bydliště: Most, Praha, Lanžhot

PříspěvekZaslal: čt leden 24 2013, 11:49    Předmět: Citovat

U nás používají vývojáři nějaké Xilinxy pro řízení RGB displejů. Program píšou v C (v nějakém vývojovém prostředí), jen se musí trochu jinak uvažovat, protože procesy běží paralelně. Mají tam napsanou obsluhu UDP paketů (obraz se posílá přes síť, 50MB/s) a dost šíleností ohledně komunikace mezi moduly, řízení LED, diagnostika. Běžný procesor by to nestíhal. Program do nich nahrávají po zapnutí napájení pomocí ATmegy.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
forbidden



Založen: Feb 14, 2005
Příspěvky: 9004
Bydliště: Brno (JN89GF)

PříspěvekZaslal: čt leden 24 2013, 14:27    Předmět: Citovat

O GAL atd... vím jen to, že něco takovýho existuje. Začít s tím nehodlám, protože bych to nejspíš neuměl nijak využít. Ptal jsem se jen ze zvědavosti, abych věděl, co to vůbec je a k čemu se to tak používá.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Bernard



Založen: May 27, 2005
Příspěvky: 3647

PříspěvekZaslal: čt leden 24 2013, 15:25    Předmět: Citovat

Bylo by tu jedno staré CD, kde jsou i ty zmiňované obvody. Jestli je zájem, pošlu.


Lattice.jpg
 Komentář:

Stáhnout
 Soubor:  Lattice.jpg
 Velikost:  36.78 kB
 Staženo:  62 krát

Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
Andrea



Založen: Sep 07, 2007
Příspěvky: 9340

PříspěvekZaslal: čt leden 24 2013, 15:27    Předmět: Citovat

Vývojové prostředí pro Lattice je například ispLever Classic, je zdarma a k popisu těch funkcí můžeš použít například VHDL. VHDL je univerzální, není vázaný na obvody jedné firmy, klidně můžeš začít s těmi obvody od Lattice a pak stejný program nafitovat do obvodu od Altery nebo Xilinxe. Ale samozřejmě existují i jiné jazyky, Verilog, Abel nebo se dají obvody přímo naklikat z hradýlek a pod.

CPLD jsou většinou složené z makrobuněk. Makrobuňka je velká INVERT-AND-OR-INVERT matice, ve které můžeš realizovat nějakou logickou funkci jako součet součinů (/A/BCF + AB/CD + AE/F + BCDE...). Za maticí je registr, který jde konfigurovat jako různé typy (D, T, R-S...). Takže můžeš realizovat jak kombinační, tak sekvenční obvody. Makrobuňky jsou pak propojené velkým propojovacím polem.
Ty uvedené dva obvody mají 32 a 64 makrobuněk. Do těch se ti vejde třeba vícemístný čítač, jednoduchý SPI řadič, DDS (bez tabulky a převodníku)....
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
Panda38



Založen: Nov 21, 2012
Příspěvky: 717
Bydliště: Most, Praha, Lanžhot

PříspěvekZaslal: čt leden 24 2013, 15:36    Předmět: Citovat

Také by mě zajímalo, kdy jsou hradlová pole vhodnější použít než procesory? Nějaké konkrétní příklady? Setkal jsem se s nimi jen zde při zpracování velkého množství obrazových dat a jinak pak s nějakými Lattice jako kombinátor signálů v reálném čase. Jo a ještě u jednoho univerzálního programátoru, kde se v PLD přehazovaly signály pinů. Lákalo by mě seznámit se s hradlovými poli, ale vůbec mě nenapadá kde bych je využil.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Bernard



Založen: May 27, 2005
Příspěvky: 3647

PříspěvekZaslal: čt leden 24 2013, 16:31    Předmět: Citovat

U nás se ta pole používala jako dekodér adres s výstupem Enable signálů pro paměti různých velikostí a dalších periferních obvodů na desce, všechno namapováno v jednom adresovém prostoru. To je úloha čistě pro kombinační logiku, ta se dá zvládnout i pomocí SSI/MSI obvodů, ale ty by zas sežraly o moc větší plochu desky. Tak proto.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
forbidden



Založen: Feb 14, 2005
Příspěvky: 9004
Bydliště: Brno (JN89GF)

PříspěvekZaslal: čt leden 24 2013, 17:13    Předmět: Citovat

Díky Andreo, přesně tuto odpověď jsem potřeboval, abych si udělal nějakou představu o tom, co to vlastně umí. Jestliže existuje i možnost naklikání si hradýlek a propojení a výstupem bude kód pro obvod, tak bych to možná i zkusil. Zkusím po tom zapátrat.
Bernarde, pokud máš možnost obsah CD zabalit a poslat třeba na uloz.to, byl bych taky rád. Chodit na poštu s CD snad ani nemá smysl.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Atlan



Založen: May 10, 2004
Příspěvky: 4511
Bydliště: Košice

PříspěvekZaslal: čt leden 24 2013, 17:49    Předmět: Citovat

Panda38 napsal(a):
Také by mě zajímalo, kdy jsou hradlová pole vhodnější použít než procesory? Nějaké konkrétní příklady? Setkal jsem se s nimi jen zde při zpracování velkého množství obrazových dat a jinak pak s nějakými Lattice jako kombinátor signálů v reálném čase. Jo a ještě u jednoho univerzálního programátoru, kde se v PLD přehazovaly signály pinů. Lákalo by mě seznámit se s hradlovými poli, ale vůbec mě nenapadá kde bych je využil.


Konkretne som pouzil pri adresovani RAM kedze to bolo taktovane 50Mhz a sluzilo to ako pamet pre DSO, navyse sa v nej nastavovala hodnota pri akej sa spustal triger a data ktore prisli z AD prechadzali takymto obvodom do RAM, bola moznost s izvolit ci na vstup ra msu privadzane data s AD prevodnika alebo vstupneho konektora aby to mohlo sluzit ako analyzator 8vstupovy.

Proste ked potrebujes s niecim rychlo pracovat, niekedy by si musel pouzit pa IO 7400 (to zrovna rychle nebude)... teraz to naprogramujes do jedneho IO a mas to.. a mozes si zvolit ci preferujes rychlost alebo malu spotrebu... atd.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
rnbw



Založen: Mar 21, 2006
Příspěvky: 34034
Bydliště: Bratislava

PříspěvekZaslal: čt leden 24 2013, 17:56    Předmět: Citovat

GALy sa pouzivali ako dekoder adresy aj na mnohych ISA kartach.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
Bernard



Založen: May 27, 2005
Příspěvky: 3647

PříspěvekZaslal: čt leden 24 2013, 18:02    Předmět: Citovat

forbidden napsal(a):
... Chodit na poštu s CD snad ani nemá smysl.

Ani pro CD, máš pravdu. Wink
http://www.upnito.sk/subor/a37c8d99692296a3a602935e09a5d724.html
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
forbidden



Založen: Feb 14, 2005
Příspěvky: 9004
Bydliště: Brno (JN89GF)

PříspěvekZaslal: čt leden 24 2013, 19:01    Předmět: Citovat

Mám to, díky.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Panda38



Založen: Nov 21, 2012
Příspěvky: 717
Bydliště: Most, Praha, Lanžhot

PříspěvekZaslal: čt leden 24 2013, 19:10    Předmět: Citovat

forbidden: Tady jsem narazil na programovací kabel na ty Lattice, přes LPT, kdyby se Ti hodilo: http://www.rottmerhusen.com/etronisch/lattcab/isplsi_dl-cable-e.html
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu Zobrazit autorovy WWW stránky
Racius



Založen: Aug 29, 2009
Příspěvky: 189
Bydliště: Brno

PříspěvekZaslal: pá leden 25 2013, 0:14    Předmět: Citovat

Panda38 napsal(a):
Také by mě zajímalo, kdy jsou hradlová pole vhodnější použít než procesory?
V aplikáciach kde je dôraz na rýchlosť a absolútnu spoľahlivosť, teda letecká, vesmírna technika, ale aj automobilová elektronika.
Potom meracia technika, neviem si predstaviť moderný osciloskop bez nejakého Xilinxu alebo tej Altery na spracovanie signálu.

Rýchlosť obvodov a vykonaných úloh je presne definovaná.
Návrat nahoru
Zobrazit informace o autorovi Odeslat soukromou zprávu
Zobrazit příspěvky z předchozích:   
Přidat nové téma   Zaslat odpověď       Obsah fóra Diskuzní fórum Elektro Bastlírny -> Programování PIC, ATMEL, EEPROM a dalších obvodů Časy uváděny v GMT + 1 hodina
Strana 1 z 1

 
Přejdi na:  
Nemůžete odesílat nové téma do tohoto fóra.
Nemůžete odpovídat na témata v tomto fóru.
Nemůžete upravovat své příspěvky v tomto fóru.
Nemůžete mazat své příspěvky v tomto fóru.
Nemůžete hlasovat v tomto fóru.
Nemůžete připojovat soubory k příspěvkům
Můžete stahovat a prohlížet přiložené soubory

Powered by phpBB © 2001, 2005 phpBB Group
Forums ©
Nuke - Elektro Bastlirna

Informace na portálu Elektro bastlírny jsou prezentovány za účelem vzdělání čtenářů a rozšíření zájmu o elektroniku. Autoři článků na serveru neberou žádnou zodpovědnost za škody vzniklé těmito zapojeními. Rovněž neberou žádnou odpovědnost za případnou újmu na zdraví vzniklou úrazem elektrickým proudem. Autoři a správci těchto stránek nepřejímají záruku za správnost zveřejněných materiálů. Předkládané informace a zapojení jsou zveřejněny bez ohledu na případné patenty třetích osob. Nároky na odškodnění na základě změn, chyb nebo vynechání jsou zásadně vyloučeny. Všechny registrované nebo jiné obchodní známky zde použité jsou majetkem jejich vlastníků. Uvedením nejsou zpochybněna z toho vyplývající vlastnická práva. Použití konstrukcí v rozporu se zákonem je přísně zakázáno. Vzhledem k tomu, že původ předkládaných materiálů nelze žádným způsobem dohledat, nelze je použít pro komerční účely! Tento nekomerční server nemá z uvedených zapojení či konstrukcí žádný zisk. Nezodpovídáme za pravost předkládaných materiálů třetími osobami a jejich původ. V případě, že zjistíte porušení autorského práva či jiné nesrovnalosti, kontaktujte administrátory na diskuzním fóru EB.


PHP-Nuke Copyright © 2005 by Francisco Burzi. This is free software, and you may redistribute it under the GPL. PHP-Nuke comes with absolutely no warranty, for details, see the license.
Čas potřebný ke zpracování stránky 0.16 sekund